Моделювання вимірювача на мові проектування VHDL

Автор: Пользователь скрыл имя, 01 Апреля 2013 в 22:56, курсовая работа

Описание работы

Метою цього курсового проекту є розробка цифрового вимірювачу
промислової частоти. Винахід відноситься до імпульсної техніки та може
бути використаним в пристроях контролю параметрів мережі задля з метою
запобігання частотним перешкода у мережі, що можуть спричинити перебої
у роботі пристроїв, або навіть вивести пристрої з ладу.

Содержание

Вступ ……………………………………………………………….……………..4
1 Ескізний проект ……………………………………………….….……………5
1.1 Аналіз технічного завдання.. ………………………………….….…...5
1.2 Схема електрична структурна….………………………….………..…6
1.3 Алгоритм роботи схеми...……………………………………….….….7
1.4 Часова діаграма роботи вимірювачу……………………….………....8
2 Технічний проект……. …...……………………….…………………………....9
2.1 Вибір елементної бази …………………………………………...…….9
2.2 Формувач імпульсів……….…………………………………...……..10
2.3 Формувач сигналів управління………………………………………11
2.4 Генератор стабілізованих імпульсів…………………………………11
2.5 Блок рахування………………………………………………………..12
3 Моделювання вимірювача на мові проектування VHDL...………………....13
3.1 План моделювання……………………...……………………….…....13
3.1.1 Модель лічильника ie5 (дільник на 8)…………………………....….13
3.1.2 Модель частини формувача сигналів управління……..……….…...14
3.1.3 Модель другої частини формувача сигналів управління……….….15
3.1.4Модель К155ИЕ6…………………….....……………….……….…….16
3.1.5 Модель 514ИД1……………………………………….….……..…….18
3.2 Модель цифрового вимірювача промислової частоти………...…….……19
3.3 Отримані діаграми……….…………………………………………….…...21
Висновок……………………………………………………………………….…24
Список літератури