Моделювання вимірювача на мові проектування VHDL

Автор: Пользователь скрыл имя, 01 Апреля 2013 в 22:56, курсовая работа

Описание работы

Метою цього курсового проекту є розробка цифрового вимірювачу
промислової частоти. Винахід відноситься до імпульсної техніки та може
бути використаним в пристроях контролю параметрів мережі задля з метою
запобігання частотним перешкода у мережі, що можуть спричинити перебої
у роботі пристроїв, або навіть вивести пристрої з ладу.

Содержание

Вступ ……………………………………………………………….……………..4
1 Ескізний проект ……………………………………………….….……………5
1.1 Аналіз технічного завдання.. ………………………………….….…...5
1.2 Схема електрична структурна….………………………….………..…6
1.3 Алгоритм роботи схеми...……………………………………….….….7
1.4 Часова діаграма роботи вимірювачу……………………….………....8
2 Технічний проект……. …...……………………….…………………………....9
2.1 Вибір елементної бази …………………………………………...…….9
2.2 Формувач імпульсів……….…………………………………...……..10
2.3 Формувач сигналів управління………………………………………11
2.4 Генератор стабілізованих імпульсів…………………………………11
2.5 Блок рахування………………………………………………………..12
3 Моделювання вимірювача на мові проектування VHDL...………………....13
3.1 План моделювання……………………...……………………….…....13
3.1.1 Модель лічильника ie5 (дільник на 8)…………………………....….13
3.1.2 Модель частини формувача сигналів управління……..……….…...14
3.1.3 Модель другої частини формувача сигналів управління……….….15
3.1.4Модель К155ИЕ6…………………….....……………….……….…….16
3.1.5 Модель 514ИД1……………………………………….….……..…….18
3.2 Модель цифрового вимірювача промислової частоти………...…….……19
3.3 Отримані діаграми……….…………………………………………….…...21
Висновок……………………………………………………………………….…24
Список літератури

Работа содержит 1 файл

kursovaya_rabotaKETsE.pdf

— 268.40 Кб (Скачать)
Page 1
Вступ ……………………………………………………………….……………..4
1 Ескізний проект ……………………………………………….….……………5
1.1 Аналіз технічного завдання.. ………………………………….….…...5
1.2 Схема електрична структурна….………………………….………..…6
1.3 Алгоритм роботи схеми...……………………………………….….….7
1.4 Часова діаграма роботи вимірювачу……………………….………....8
2 Технічний проект……. …...……………………….…………………………....9
2.1 Вибір елементної бази …………………………………………...…….9
2.2 Формувач імпульсів……….…………………………………...……..10
2.3 Формувач сигналів управління………………………………………11
2.4 Генератор стабілізованих імпульсів…………………………………11
2.5 Блок рахування………………………………………………………..12
3 Моделювання вимірювача на мові проектування VHDL...………………....13
3.1 План моделювання……………………...……………………….…....13
3.1.1 Модель лічильника ie5 (дільник на 8)…………………………....….13
3.1.2 Модель частини формувача сигналів управління……..……….…...14
3.1.3 Модель другої частини формувача сигналів управління……….….15
3.1.4Модель К155ИЕ6…………………….....……………….……….…….16
3.1.5 Модель 514ИД1……………………………………….….……..…….18
3.2 Модель цифрового вимірювача промислової частоти………...…….……19
3.3 Отримані діаграми……….…………………………………………….…...21
Висновок……………………………………………………………………….…24
Список літератури
ДОДАТОК 1. Схема електрична принципова
ДОДАТОК 2. Перелік елементів
Змн. Арк.
№ докум.
Підпис Дата
Арк.
3
АЕ83.468600.001.ПЗ
Розроб.
Файт А.О.
Перевір.
Богатова О.О.
Реценз.
Н. Контр.
Затверд.
Цифровий вимірювач
промислової частоти
Літ.
Акрушів
25
ОНПУ,ІКС

Page 2

ВСТУП
Метою цього курсового проекту є розробка цифрового вимірювачу
промислової частоти. Винахід відноситься до імпульсної техніки та може
бути використаним в пристроях контролю параметрів мережі задля з метою
запобігання частотним перешкода у мережі, що можуть спричинити перебої
у роботі пристроїв, або навіть вивести пристрої з ладу.
Змн. Арк.
№ докум.
Підпис Дата
Арк.
4
АЕ83.468600.001.ПЗ

Page 3

1 ЕСКІЗНИЙ ПРОЕКТ
1.1 Аналіз технічного завдання
Одним із параметрів оцінки якості електроенергії є величина частоти
синусоїдальної напруги мережі рівна 50±1 Гц. Вимірювання цих доволі
низьких частот з достатньою точністю є не легкою задачею.
Але якщо ми задамося умовою виміру частоти у межах 48..52 Гц, що
відповідає дозволеним граничним межам величини, що вимірюється, тоді ми
можемо достатньо не складну схему пристрою вимірювання частоти з
достатньо високою точністю у даному діапазоні.
В основу пристрою буде покладений принцип вимірювання числа
імпульсів стабілізованої частоти за проміжок часу рівний періоду частоти що
вимірюється.
Змн. Арк.
№ докум.
Підпис Дата
Арк.
5
АЕ83.468600.001.ПЗ

Page 4

1.2 Схема структурна
Reset
Додзвіл
рахування
Гасіння
ідикації
Блокдатчика
(Вториннаобмотка
трансформатору )
Блокформувача
Імпульсів
Блокформувача
сигналівкерування
Блокгенератору
стабілізованих
імпульсів
Блокзбігу
Блокрахування
Блокіндикації
Рис. 1 Схема цифрового вимірювачу промислової частоти.
Змн. Арк.
№ докум.
Підпис Дата
Арк.
6
АЕ83.468600.001.ПЗ

Page 5

1.3 Алгоритм роботи схеми
Схема працює наступним чином:
Синусоїдальні сигнали з частотою мережі поступають від датчика(вторинної
обмотки трансформатору) на вхід формувача імпульсів, який перетворює ці
сигнали у прямокутні імпульси нормалізовані за формою та амплітудою.
Далі прямокутні імпульси надходять до формувача сигналів
управління, де формуються сигнали управління: сигнал скидання лічильників
у блоці рахування, сигнал дозволу рахування та сигнал гасіння індикації під
час рахунку. Часові діаграми показані на рис.2
Імпульси від генератору стабілізованої частоти разом з сигналом
дозволу рахування надходять до блоку збігу,і якщо є дозвіл рахування то
імпульси проходять до блоку рахування.
У блоці рахування відбувається реверсивний рахунок від “0000”
наступним чином за проміжок часу рівний періоду вхідного сигналу мае бути
порахована певна кількість імпульсів.
А у нашому випадку на 1/50 с повинно бути 5000 імпульсів оскільки у нас
чотири лічильника. Якщо частота насправді менша наприклад 1/49 с тоді у
нас пройде на 100 імпульсів більше а отже и лічильники покажуть
5000-100=4900.
З лічильників ми подаємо на блок індикації де двійковий код
декодується і подається на семи сегментні індикатори.
Змн. Арк.
№ докум.
Підпис Дата
Арк.
7
АЕ83.468600.001.ПЗ

Page 6

1.4 Часова діаграмма роботи вимірювачу
Uп2
Uп1
Сформований
сигнал
Reset
додзвол
рахунку
Гасіння
індикатору
Вихід
генаратору
Сигнали
на
рахунок
t
t
t
t
t
t
t
0.5-1мкс
160мс
Вхідний
сигнал
0.5-1мкс
Рис. 2 Діаграма роботи
Змн. Арк.
№ докум.
Підпис Дата
Арк.
8
АЕ83.468600.001.ПЗ

Page 7

2 Технічний проект
2.1 Вибір елементної бази
Оберемо елементну базу для нашого вимірювачу. Будемо обирати
мікросхеми серії К155 та 514. Для створення нашого пристрою нам
знадобляться наступні мікросхеми. Лічильники - мікросхема ТТЛ К155ИЕ5,
що має в своєму складі 2 незалежних лічильника з загальним скиданням,
інверсні входи R1 та R2, то входи C1 і C2. Один з лічильників працює як
дільник на 2, а інший – на 8.
Також візьмемо мікросхему К155ИЕ6 – чотирьох розрядний
реверсивний двійково-десятковий лічильник він містить два входи CD - на
зменшення та CU – на збільшення; входи програмування D0-D3 – входи
даних L – вхід дозволу програмування;R – вхід скидання;Q0-Q3(Q1-Q8) –
виходи даних; TD – переповнення при реверсивному рахуванні TD –
переповнення при прямому рахуванні.
Використаємо інвертор К155ЛН1. В його склад входять 6 інверторів.
Використаємо інвертор К155ТЛ2 на тригері Шмітта. В його склад
входять 6 інверторів. Використаємо також ЛЕ 2-І-НЕ К155ЛА3. Це чотири
елемента 2-І-НЕ.
Також у якості декодеру на семисегментний індикатор візьмемо
мікросхему 514ИД1 декодер з 4bit на 7 семи-сегментний код.
Діоди Д223.
Резонатор кварцовий – РГ168 500кГц.
Трансформатор
ТПП250. З двох вторинних обмоток увімкнених
послідовно цього трансформатора будемо знімати сигнал частоту якого
потрібно визначити – його частота це частота мережі. Тобто це своєрідний
«датчик». Одночасно він є елементом блоку живлення.
Змн. Арк.
№ докум.
Підпис Дата
Арк.
9
АЕ83.468600.001.ПЗ

Page 8

Індикатори АЛС324А.
Табл. 1 Таблиця істиності для АЛС324А
Цифра
bin
a
b
c
d
e
f
g
0
0000
1
1
1
1
1
1
0
1
0001
0
1
1
0
0
0
0
2
0010
1
1
0
1
1
0
1
3
0011
1
1
1
1
0
0
1
4
0100
0
1
1
0
0
1
1
5
0101
1
0
1
1
0
1
1
6
0110
1
0
1
1
1
1
1
7
0111
1
1
1
0
0
0
0
8
1000
1
1
1
1
1
1
1
9
1001
1
1
1
1
0
1
1
Також на індикаторі є десяткова точка – h.
2.2 Формувач імпульсів
11_12
19_ 20
2 51 ; 3 510 ;
1
2.51 0.63 3.14; 2 ( 1 1 )*51/510 1.95
R
R
U
U
U
U
U
B
B
=

=

=
+
=
+
=
=

=
Наформувач
сигналівуправління
DD2.1
R2
R3
VD1
Від 11 виводу
трансформатору
Від 20 виводу
трансформатору
Прим. З'єднати 12 та19
виводи
Змн. Арк.
№ докум.
Підпис Дата
Арк.
10
АЕ83.468600.001.ПЗ

Page 9

2.2 Формувач сигналів управління
Розглянемо побудову формувача Основна проблема полягає в тому що
необхідно дотримувати часових відношень керуючих сигналів. Та за
допомогою часових затримок запобігти блиманню індикаторів.
C1
R
&
&
1
&
Q1
Q2
Q4
&
&
&
1
CT8
1
1
Скидання
Дозвіл рахування
Гасіння
DD3.1
DD4.1
DD1.6
DD1.3
DD4.2
DD1.4
DD5.1
DD5.3
DD5.2
DD1.5
DD2.3
Рис. 3 Принципова схема формувача сигналів управління
2.3 Генератор стабілізованих імпульсів
Імпульси заповнення вимірюємого інтервалу формуються генератором
на ЛЕ DD1.1 DD1.2 DD2.2 резисторі R1, кварцовому резонаторі BQ1 та
дільнику частоти на 2 DD3.2
1
1
C2
CT2
Q
R1
BQ1
DD1.1
DD1.2
DD2.2
DD3.2
Рис. 4 Принципова схема генератора стабілізованих імпульсів
Змн. Арк.
№ докум.
Підпис Дата
Арк.
11
АЕ83.468600.001.ПЗ

Page 10

2.4 Блок рахування
Принци цього блоку дуже простий: з’єднуємо лічильники каскадом та
з’єднуємо їх так щ об вони рахували в реверсивному напрямку. Не
використані та CU і L під’єднуємо через резистор до Vcc.
D0
D1
D2
D3
CU
CD
L
R
TU
TD
Q1
Q2
Q4
Q8
D0
D1
D2
D3
CU
CD
L
R
TU
TD
Q1
Q2
Q4
Q8
D0
D1
D2
D3
CU
CD
L
R
TU
TD
Q1
Q2
Q4
Q8
D0
D1
D2
D3
CU
CD
L
R
TU
TD
Q1
Q2
Q4
Q8
&
Vcc
Дозвіл
рахування
Ипмульси
рахування
Надекодер 1
Надекодер 2
Надекодер 3
Надекодер 4
R4
DD4.3
DD6
DD7
DD8
DD9
CT10
CT10
CT10
CT10
Рис. 5 Принципова схема блоку рахування
Змн. Арк.
№ докум.
Підпис Дата
Арк.
12
АЕ83.468600.001.ПЗ

Page 11

3 Моделювання вимірювача на мові проектування VHDL
3.1 План моделювання
Складемо моделі компонентів вимірювача перевіримо правильність
функціонування та складемо з них модель вимірювача та перевіримо її
роботу.
3.1.1 Модель лічильника ie5 (дільник на 8)
Текст моделі на мові проектування VHDL:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity ie5 is
port(
C1: in std_logic;
R1: in std_logic;
R2: in std_logic;
Q: out std_logic_vector(2 downto 0)
);
end entity;
architecture v1 of ie5 is
--signal cntr:std_logic_vector(2 downto 0);
begin
process(C1)
variable cntr:std_logic_vector(2 downto 0) := "000";
begin
if((R1='1') and (R2='1')) then
cntr :="000";
elsif (C1'event and (C1='1')) then
cntr:=cntr+"001";
Змн. Арк.
№ докум.
Підпис Дата
Арк.
13
АЕ83.468600.001.ПЗ

Page 12

end if;
Q<=cntr;
end process;
end architecture;
Рис. 6 Моделювання лічильника
3.1.2 Модель частини формувача сигналів управління.
library IEEE;
use IEEE.std_logic_1164.all;
entity block1 is
port(
c:in std_logic;
out0:out std_logic
);
end entity;
architecture v1 of block1 is
component ie5 is
port(
C1: in std_logic;
R1: in std_logic;
R2: in std_logic;
Q: out std_logic_vector(2 downto 0)
);
end component;
signal Q1,Q2,Q4:std_logic;
Змн. Арк.
№ докум.
Підпис Дата
Арк.
14
АЕ83.468600.001.ПЗ

Page 13

begin
dd1: ie5 port
map(C1=>c,R1=>'0',R2=>'0',Q(2)=>Q4,Q(1)=>Q2,Q(0)=>Q1);
out0<=Q1 and Q2 and not Q4;
end architecture;
Рис. 7 Моделювання частини формувача сигналів управління
3.1.3 Модель другої частини формувача сигналів управління.
library IEEE;
use IEEE.std_logic_1164.all;
entity CUnit is
port(
in0: in std_logic; -- in from form unit
RO: out std_logic; -- reset counters
CntEn: out std_logic; -- enable counters
damp: out std_logic -- damping lcd
);
end entity;
architecture v1 of CUnit is
signal s1,s2,ce:std_logic;
begin
s1<= not in0 after 1us;
ce<= not s1 ;
CntEn <=ce;
Змн. Арк.
№ докум.
Підпис Дата
Арк.
15
АЕ83.468600.001.ПЗ

Page 14

RO <= s1 and in0;
damp <=not ce;
end architecture;
На вхід in0 подамо наступній сигнал 0 0,1 50ms,0 70ms(це вихід
попереднього частини формувача блока) та промоделюємо роботу схеми.
Рис. 8 Моделювання другої частини формувача сигналів управління
3.1.4 Модель К155ИЕ6 .
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity ie6 is
port(
CD: in std_logic;
CU: in std_logic;
L: in std_logic;
R: in std_logic;
TD: out std_logic;
TU: out std_logic;
D: in std_logic_vector(3 downto 0);
Q: out std_logic_vector(3 downto 0)
);
end entity;
architecture v1 of ie6 is
signal Qin,Qin2:std_logic_vector(3 downto 0);
signal ovf:std_logic:='1';
Змн. Арк.
№ докум.
Підпис Дата
Арк.
16
АЕ83.468600.001.ПЗ

Page 15

begin
process(CD,CU,R)
variable cntr:std_logic_vector(3 downto 0) := "0000";
begin
if(R='1') then
cntr :="0000";
elsif (CD'event and (CD='1') and(CU='1')) then
cntr:=cntr-"0001";
if(cntr="1111") then
cntr:="1001";
end if;
TD<='1';
elsif (CU'event and (CU='1') and (CD='1')) then
cntr:=cntr+"0001";
if(cntr="1010") then
cntr:="0000";
end if;
end if;
if(CD'event and (CD='0')) then
if(cntr="0000") then
TD<='0';
else
TD<='1';
end if;
end if;
Qin<=cntr;
end process;
Q<=Qin when L='1' else
D;
end architecture;
Змн. Арк.
№ докум.
Підпис Дата
Арк.
17
АЕ83.468600.001.ПЗ

Page 16

Рис. 9 Моделювання К155ИЕ6
3.1.4 Модель 514ИД1.
library ieee ;
use ieee.std_logic_1164.all ;
entity DEC_BIN_Seven is
port (
E: in std_logic;
IN0: in std_logic_vector(3 downto 0);
Z : out std_logic_vector(0 to 6)
) ;
end entity ;
architecture v1 of DEC_BIN_Seven is
signal Zin:std_logic_vector(0 to 6);
begin
Zin<="1111110" when IN0="0000" else
"0110000" when IN0="0001" else
"1101101" when IN0="0010" else
"1111001" when IN0="0011" else
"0110011" when IN0="0100" else
Змн. Арк.
№ докум.
Підпис Дата
Арк.
18
АЕ83.468600.001.ПЗ

Page 17

"1011011" when IN0="0101" else
"1011111" when IN0="0110" else
"1110000" when IN0="0111" else
"1111111" when IN0="1000" else
"1111011" when IN0="1001" else
"0000000";
Z<=Zin when E='1' else "0000000";
end architecture;
Рис. 9 Моделювання 514ИД1
3.2 Модель цифрового вимірювача промислової частоти
Текст моделі
library ieee ;
use ieee.std_logic_1164.all ;
use IEEE.std_logic_unsigned.all;
entity device is
port(
sig_in: in std_logic;
clk_in: in std_logic;
d_0: out std_logic_vector(0 to 6);
d_1: out std_logic_vector(0 to 6);
Змн. Арк.
№ докум.
Підпис Дата
Арк.
19
АЕ83.468600.001.ПЗ

Page 18

d_2: out std_logic_vector(0 to 6);
d_3: out std_logic_vector(0 to 6)
);
end entity;
architecture v1 of device is
component block1 is
port(
c:in std_logic;
out0:out std_logic
);
end component;
component CUnit is
port(
in0: in std_logic; -- in from form unit
RO: out std_logic; -- reset counters
CntEn: out std_logic; -- enable counters
damp: out std_logic -- damping lcd
);
end component;
component ie6 is
port(
CD: in std_logic;
CU: in std_logic;
L: in std_logic;
R: in std_logic;
TD: out std_logic;
TU: out std_logic;
D: in std_logic_vector(3 downto 0);
Q: out std_logic_vector(3 downto 0) );
Змн. Арк.
№ докум.
Підпис Дата
Арк.
20
АЕ83.468600.001.ПЗ

Page 19

end component;
component DEC_BIN_Seven is
port (
E: in std_logic;
IN0: in std_logic_vector(3 downto 0);
Z : out std_logic_vector(0 to 6)
) ;
end component;
signal Reset,CEn,V,to_control,cnt1,cnt2,cnt3,cnt4:std_logic;
signal Q0,Q1,Q2,Q3:std_logic_vector(3 downto 0);
begin
p1:block1 port map(c=>sig_in,out0=>to_control);
p2:CUnit port map(in0=>to_control,RO=>Reset,CntEn=>CEn,damp=>V);
cnt1<=CEn and clk_in;
p3:ie6 port
map(CD=>cnt1,CU=>'1',L=>'1',R=>Reset,TD=>cnt2,D=>"1111",Q=>Q0);
p4:ie6 port
map(CD=>cnt2,CU=>'1',L=>'1',R=>Reset,TD=>cnt3,D=>"1111",Q=>Q1);
p5:ie6 port
map(CD=>cnt3,CU=>'1',L=>'1',R=>Reset,TD=>cnt4,D=>"1111",Q=>Q2);
p6:ie6 port map(CD=>cnt4,CU=>'1',L=>'1',R=>Reset,D=>"1111",Q=>Q3);
p7: DEC_BIN_Seven port map(E=>V,IN0=>Q0,Z=>d_0);
p8: DEC_BIN_Seven port map(E=>V,IN0=>Q1,Z=>d_1);
p9: DEC_BIN_Seven port map(E=>V,IN0=>Q2,Z=>d_2);
p10:DEC_BIN_Seven port map(E=>V,IN0=>Q3,Z=>d_3);
end architecture;
3.3 Отримані діаграми
Промоделюемо роботу вимірювача подавши на входи
sig_in сигнал з частотою 50Гц
clk_in сигнал з частотою 250кГц
Змн. Арк.
№ докум.
Підпис Дата
Арк.
21
АЕ83.468600.001.ПЗ

Page 20

Змінимо sig_in на 49 Гц
Змн. Арк.
№ докум.
Підпис Дата
Арк.
22
АЕ83.468600.001.ПЗ

Page 21

Змн. Арк.
№ докум.
Підпис Дата
Арк.
23
АЕ83.468600.001.ПЗ

Page 22

Висновок
Метою курсового проекту було розробка цифрового вимірювачу
промислової частоти. Цей пристрій має з достатньою точністю визначати
частоту. За допомогою САПР ActiveHDL8.1 ми створили модель пристрою та
промоделювали його роботу.
Оскільки часові діаграми підтверджують правильність роботи схеми
можна зробити висновок що схема працездатна.
Змн. Арк.
№ докум.
Підпис Дата
Арк.
24
АЕ83.468600.001.ПЗ

Page 23

СПИСОК ЛІТЕРАТУРИ
1. В.П.Малахов, О. О. Богатова, Л. О. Богданова. Методические
указания по курсовому проекту- Одеса:ОНПУ, 2001 – 35 с.
2. Шило В.Л. Популярные цифровые микросхемы. - М.: радио и связь,
1988. - 349 с.
3. Прянишников В.А., Электроника. Полный курс лекций. - СПб.:
Корона-принт, 2003. - 416 с.
4. Угрюмов Е. Цифровая схемотехника. - СПб.: БХВ-Петербург, 2001.
- 518 с.
Змн. Арк.
№ докум.
Підпис Дата
Арк.
25
АЕ83.468600.001.ПЗ

Информация о работе Моделювання вимірювача на мові проектування VHDL